Lines Matching refs:mant

21 	.mant = { .m64 = ~0 }
105 if (dest->mant.m64 < src->mant.m64) { in fp_fadd()
182 dest->mant.m64 = 0; in fp_fmul()
193 if ((long)dest->mant.m32[0] >= 0) in fp_fmul()
195 if ((long)src->mant.m32[0] >= 0) in fp_fmul()
252 dest->mant.m64 = 0; in fp_fdiv()
270 dest->mant.m64 = 0; in fp_fdiv()
280 if ((long)dest->mant.m32[0] >= 0) in fp_fdiv()
282 if ((long)src->mant.m32[0] >= 0) in fp_fdiv()
340 dest->mant.m64 = 0; in fp_fsglmul()
349 fp_mul64(dest->mant.m32[0], dest->mant.m32[1], in fp_fsglmul()
350 dest->mant.m32[0] & 0xffffff00, in fp_fsglmul()
351 src->mant.m32[0] & 0xffffff00); in fp_fsglmul()
390 dest->mant.m64 = 0; in fp_fsgldiv()
408 dest->mant.m64 = 0; in fp_fsgldiv()
415 dest->mant.m32[0] &= 0xffffff00; in fp_fsgldiv()
416 src->mant.m32[0] &= 0xffffff00; in fp_fsgldiv()
419 if (dest->mant.m32[0] >= src->mant.m32[0]) { in fp_fsgldiv()
420 fp_sub64(dest->mant, src->mant); in fp_fsgldiv()
421 fp_div64(quot, rem, dest->mant.m32[0], 0, src->mant.m32[0]); in fp_fsgldiv()
422 dest->mant.m32[0] = 0x80000000 | (quot >> 1); in fp_fsgldiv()
423 dest->mant.m32[1] = (quot & 1) | rem; /* only for rounding */ in fp_fsgldiv()
425 fp_div64(quot, rem, dest->mant.m32[0], 0, src->mant.m32[0]); in fp_fsgldiv()
426 dest->mant.m32[0] = quot; in fp_fsgldiv()
427 dest->mant.m32[1] = rem; /* only for rounding */ in fp_fsgldiv()
463 oldmant = dest->mant; in fp_roundint()
466 dest->mant.m64 = 0; in fp_roundint()
469 dest->mant.m32[0] &= 0xffffffffU << (0x401e - dest->exp); in fp_roundint()
470 dest->mant.m32[1] = 0; in fp_roundint()
471 if (oldmant.m64 == dest->mant.m64) in fp_roundint()
475 dest->mant.m32[1] &= 0xffffffffU << (0x403e - dest->exp); in fp_roundint()
476 if (oldmant.m32[1] == dest->mant.m32[1]) in fp_roundint()
551 dest->mant.m64 = 1ULL << 63; in fp_roundint()
555 if (dest->mant.m32[0] += mask) in fp_roundint()
557 dest->mant.m32[0] = 0x80000000; in fp_roundint()
562 if (dest->mant.m32[1] += mask) in fp_roundint()
564 if (dest->mant.m32[0] += 1) in fp_roundint()
566 dest->mant.m32[0] = 0x80000000; in fp_roundint()
599 fp_set_quotient((dest->mant.m64 & 0x7f) | (dest->sign << 7)); in modrem_kernel()