Home
last modified time | relevance | path

Searched refs:shift_reg (Results 1 – 3 of 3) sorted by relevance

/linux-6.3-rc2/drivers/isdn/hardware/mISDN/
A Disdnhdlc.c215 hdlc->shift_reg = 0; in isdnhdlc_decode()
245 hdlc->shift_reg >>= 1; in isdnhdlc_decode()
258 hdlc->shift_reg = 0; in isdnhdlc_decode()
370 hdlc->shift_reg = in isdnhdlc_encode()
451 hdlc->shift_reg >>= 1; in isdnhdlc_encode()
472 hdlc->shift_reg >>= 1; in isdnhdlc_encode()
476 hdlc->shift_reg >>= 1; in isdnhdlc_encode()
490 hdlc->shift_reg >>= 1; in isdnhdlc_encode()
494 hdlc->shift_reg >>= 1; in isdnhdlc_encode()
513 hdlc->shift_reg >>= 1; in isdnhdlc_encode()
[all …]
A Disdnhdlc.h30 u8 shift_reg; member
/linux-6.3-rc2/drivers/misc/altera-stapl/
A Daltera.c2188 shift_reg = 0xffff; in altera_check_crc() local
2217 feedback = (databyte ^ shift_reg) & 0x01; in altera_check_crc()
2218 shift_reg >>= 1; in altera_check_crc()
2220 shift_reg ^= 0x8408; in altera_check_crc()
2226 local_actual = (u16)~shift_reg; in altera_check_crc()

Completed in 7 milliseconds