1@page watchdog watchdog
2
3**[更正文档](https://gitee.com/alios-things/watchdog/edit/master/README.md)**      **[贡献说明](https://help.aliyun.com/document_detail/302301.html)**
4
5# 概述
6> WATCHDOG VFS驱动子系统,该驱动的目的是给应用或组件提供通过VFS形式(open/close/ioctl)访问WATCHDOG控制器驱动对上层提供复位看门狗的接口。
7> 该组件初始化过程中,会根据hardware/chip/<chip_name>/package.yaml中定义的CONFIG_WDG_NUM来依此创建如下名称的设备节点:
8
9* /dev/wdg0
10* /dev/wdg1
11* ...
12* /dev/wdg[CONFIG_WDG_NUM - 1]
13
14> WATCHDOG VFS驱动子系统内部会将open/close/ioctl请求转换成对应硬件的HAL层接口调用,通过HAL层接口发起复位看门狗的请求。
15
16## 版权信息
17> Apache license v2.0
18
19## 目录结构
20```tree
21│── src
22│   └── wdg_dev.c      # WATCHDOG VFS驱动程序源代码
23├── example            # WATCHDOG VFS驱动程序使用案例
24│   └── wdg_example.c  # 通过WATCHDOG VFS接口进行WATCHDOG通信的案例文件
25├── include            # WATCHDOG驱动子系统对外提供头文件目录
26│   ├── aos
27│   │   └── hal
28│   │       └── wdg.h  # AOS HAL API头文件声明,本文件中的API是给厂商对接HAL API的原型声明
29│   └── vfsdev
30│       └── wdg_dev.h  # WATCHDOG VFS驱动子系统对应用/组件提供的接口声明文件
31└── package.yaml       # WATCHDOG VFS驱动子系统的组件配置文件
32
33```
34
35## 依赖组件
36* base     # 最底层核心驱动模型、驱动自动加载机制以及Device VFS core
37* vfs      # VFS API抽象库
38
39# 常用配置
40> 本组件可以通过CONFIG_WDG_NUM配置对上层提供设备节点的数量,CONFIG_WDG_NUM代表WATCHDOG设备节点的数量。
41> CONFIG_WDG_NUM默认是在hardware/chip/<chip_name>/package.yaml中的**define**节点进行配置。
42> 如果没有定义CONFIG_WDG_NUM,则代码中会定义默认对外输出的设备节点数量。
43> 设备节点数量: 默认1个, 如需修改,在hardware/chip/<chip_name>/package.yaml中修改CONFIG_WDG_NUM配置,一般来说一个产品只需要一个watchdog功能
44```sh
45define:
46  CONFIG_WDG_NUM: 1
47```
48
49# API说明
50## 打开设备节点
51```c
52int open(const char *pathname, int flags);
53```
54
55|args                                    |description|
56|:-----                                  |:----|
57|pathname                                |看门狗外设VFS路径|
58|flags                                   |目前固定为0值|
59
60兼容POSIX标准的open接口。其中参数*pathname*为*/dev/wdg*加看门狗外设id,例如*/dev/wdg0*。
61
62## 关闭设备节点
63```c
64int close(int fd);
65```
66兼容POSIX标准的close接口。
67
68## 复位看门狗
69在应用层需要复位看门狗操作时呼叫此API
70```c
71int ioctl(int fd, IOC_WDG_RELOAD, unsigned long arg);
72// arg固定传入0即可
73ioctl (fd, IOC_WDG_RELOAD, 0);
74```
75
76# 使用示例
77
78组件使用示例相关的代码下载、编译和固件烧录均依赖AliOS Things配套的开发工具,所以首先需要参考[《AliOS Things集成开发环境使用说明之搭建开发环境》](https://help.aliyun.com/document_detail/302378.html),下载安装。
79待开发环境搭建完成后,可以按照以下步骤进行示例的测试。
80
81## 步骤1 创建或打开工程
82
83**打开已有工程**
84
85如果用于测试的案例工程已存在,可参考[《AliOS Things集成开发环境使用说明之打开工程》](https://help.aliyun.com/document_detail/302381.html)打开已有工程。
86
87**创建新的工程**
88
89组件的示例代码可以通过编译链接到AliOS Things的任意案例(solution)来运行,这里选择helloworld_demo案例。helloworld_demo案例相关的源代码下载可参考[《AliOS Things集成开发环境使用说明之创建工程》](https://help.aliyun.com/document_detail/302379.html)90
91## 步骤2 添加组件
92> 如果芯片内部含有WATCHDOG控制器,则芯片厂在操作系统对接的时候已经将WATCHDOG组件添加在了芯片级别配置文件“hardware/chip/<chip_name>/package.yaml”中,此配置主要包含“设置组件依赖关系”及“设置芯片内部所含WATCHDOG控制器数量”两个配置。
93```yaml
94# 设置组件依赖关系
95depends:
96  - watchdog: master
97
98# 设置芯片内部所含WATCHDOG控制器数量
99define:
100  CONFIG_WDG_NUM: 1
101```
102
103## 步骤3 下载组件
104
105在已安装了  的开发环境工具栏中,选择Terminal -> New Terminal启动终端,并且默认工作路径为当前工程的workspace,此时在终端命令行中输入:
106
107```shell
108
109aos install watchdog
110
111```
112
113上述命令执行成功后,组件源码则被下载到了./components/drivers/peripheral/watchdog路径中。
114
115## 步骤4 添加示例
116
117在i2c组件的package.yaml中添加[example示例代码](https://gitee.com/alios-things/watchdog/tree/master/example)118
119```yaml
120source_file:
121#WATCHDOG device driver
122  - src/wdg_dev.c ? <CONFIG_U_WATCHDOG_DEV>
123#WATCHDOG VFS driver example
124- example/wdg_example.c ? <CONFIG_U_WATCHDOG_DEV>
125```
126
127## 步骤5 编译固件
128
129在示例代码已经添加至组件的配置文件,并且helloworld_demo已添加了对该组件的依赖后,就可以编译helloworld_demo案例来生成固件了,具体编译方法可参考[《AliOS Things集成开发环境使用说明之编译固件》](https://help.aliyun.com/document_detail/302384.html)130
131## 步骤6 烧录固件
132
133helloworld_demo案例的固件生成后,可参考[《AliOS Things集成开发环境使用说明之烧录固件》](https://help.aliyun.com/document_detail/302383.html)来烧录固件。
134
135## 步骤7 打开串口
136
137固件烧录完成后,可以通过串口查看示例的运行结果,打开串口的具体方法可参考[《AliOS Things集成开发环境使用说明之查看日志》](https://help.aliyun.com/document_detail/302382.html)138
139当串口终端打开成功后,可在串口中输入help来查看已添加的测试命令。
140
141## 步骤8 测试示例
142
143**CLI命令行输入:**
144```shell
145wdg_reload <count> <period, in unit of ms>
146# 向WATCHDOG通道0(watchdog_example.c中设定)以200ms为周期连续输出15个重置看门狗的信号
147wdg_reload 15 200
148```
149
150> 关键日志
151```shell
152wdg comp output test success!
153```
154
155# 注意事项
156> WATCHDOG测试是输出硬件信号复位看门狗,可以通过示波器来量测watchdog复位引脚的波形图
157
158# FAQ
159> 无
160
161